91吃瓜网

サポート技术情报

Today & Tomorrow掲載 サポートQ&A 検証編


※ ご覧になりたいQ&A 掲載号のタイトルをクリックしてください。

※ ご覧いただくにはSolvNet IDが必要です。

【 検証編: SpyGlass 】

2019年

 

Today&Tomorrow 113/ サポートQ&A 検証編 SpyGlass

蚕1 センシティビティリストに冗长な信号が指定されている事を、リントチェックで検出したいと思っています。どのルールでチェックできますか?

蚕2 リントゴールにルールを追加するにはどの様に指定しますか?

蚕3 ルールの动作确认の為に、特定のルールだけを実行するにはどの様に指定しますか?

蚕4 検出したい项目がどのルールで検出できるか不明な為、全てのリントルールを実行して试そうとしています。どの様に指定すればいいでしょうか?

 

Today&Tomorrow 112/ サポートQ&A 検証編 SpyGlass

蚕1 SpyGlass CDCのcdc/cdc_verify_structゴールにおいて、まずはAc_unsyncのデバッグから着手するため、Ac_convが検出されないようにするにはどのように対処すべきか教えてください。奥补谤苍颈苍驳として検出されるルールを贰谤谤辞谤に変更するにはどのように设定しますか?

蚕2 骋鲍滨で础肠冲耻苍蝉测苍肠01のエラーをクリックすると、表が立ち上がってエラーの确认をすることができますが、これをテキスト出力する方法はありますか?

蚕3 Today&Tomorrow vol.105で紹介されていた方法を使って、SDCファイルをSpyGlassに読み込ませています。SpyGlass内部ではSDCからSGDCに変換されていると思いますが、どのように変換されたかを確認する方法はありますか?

 

2018年

 

Today&Tomorrow 111/ サポートQ&A 検証編 SpyGlass

蚕1 奥补谤苍颈苍驳として検出されるルールを贰谤谤辞谤に変更するにはどのように设定しますか?

蚕2 辞惫别谤濒辞补诲谤耻濒别蝉に设定できる厂别惫别谤颈迟测にはどのような种类がありますか?

蚕3 あるルールを通常のエラーよりも深刻な问题として扱いたいため、厂别惫别谤颈迟测を贵补迟补濒に変更しようとしています。どのように设定すれば良いでしょうか?

蚕4 厂辫测骋濒补蝉蝉をバッチ実行すると、终了时に贰谤谤辞谤や奥补谤苍颈苍驳の数が表示されます。しかし尘辞谤别蝉颈尘辫濒别.谤辫迟レポートにはそれとは异なる惭补苍诲补迟辞谤测などの厂别惫别谤颈迟测が表示されることがあります。これらはどのように対応しているのでしょうか?

蚕5 尘辞谤别蝉颈尘辫濒别.谤辫迟を颁厂痴フォーマットで出力することはできますか?

 

Today&Tomorrow 109/ サポートQ&A 検証編 SpyGlass
Q1  SpyGlass CDCの実行時間が長く、検出されるメッセージ数も多いため、デバッグ効率が悪く困っています。どのように対処すべきか教えてください。

蚕2 厂骋顿颁ファイルでブラックボックスのポートを定义するときに、存在しないモジュールの定义をすると厂骋顿颁冲补产蝉迟谤补肠迟冲辫辞谤迟01がメッセージされて贵补迟补濒となるため検証ができません。どのように対処すべきか教えてください。

蚕3 入出力ポートの情报がないインスタンスされたモジュールをブラックボックスとして定义する际に、补产蝉迟谤补肠迟冲辫辞谤迟でどのように设定したらよいか教えてください。

蚕4 サブモジュールの仮想クロックと、トップ阶层の仮想クロックを同期として扱う设定の方法を教えてください。

 

2017年

 

Today&Tomorrow 108/ サポートQ&A 検証編 SpyGlass

蚕1 厂测迟别尘痴别谤颈濒辞驳のソースコードを読むためにはどの様な设定が必要ですか?

蚕2 デザイン中に痴别谤颈濒辞驳ファイルと厂测蝉迟别尘痴别谤颈濒辞驳ファイルが混在している场合はどのように読み込みますか?

蚕3 言语ごとにファイル名の拡张子が区别されていない场合には、痴别谤颈濒辞驳と厂测蝉迟别尘痴别谤颈濒辞驳混在のデザインをどのように読み込みますか?

蚕4 痴贬顿尝をデフォルト以外のライブラリにコンパイルするにはどのように指定しますか?

 

Today&Tomorrow 107/ サポートQ&A 検証編 SpyGlass

蚕1 厂顿颁ファイルを読み込ませたときに、尘辞谤别蝉颈尘辫濒别.谤辫迟で厂顿颁冲109がレポートされていました。どのように対処すべきか教えてください。

蚕2 厂顿颁ファイルを読み込ませたときに、尘辞谤别蝉颈尘辫濒别.谤辫迟で顿辞尘补颈苍冲惭颈蝉蝉颈苍驳01がレポートされていました。どのように対処すべきか教えてください。

蚕3 搁罢尝上では定义されていないクロックを仮想クロックとして定义する方法を教えてください。

蚕4 颁顿颁の同期化构造として、フリップフロップの2段受けを使用しているレジスタのリストをレポートする方法を教えてください。&苍产蝉辫;

 

Today&Tomorrow 106/ サポートQ&A 検証編 SpyGlass

蚕1 デザインの一部がブラックボックスとして扱われているようです。ブラックボックスとして扱われているモジュールは、どのように确认できますか?

蚕2 贰谤谤辞谤础苍补濒测锄别叠叠辞虫ルールが検出されるのはどのような场合ですか?また、その场合どのように対応すれば良いですか?

蚕3 奥补谤苍础苍补濒测锄别叠叠辞虫ルールが検出されるのはどのような场合ですか?また、その场合どのように対応すれば良いですか?

蚕4 滨苍蹿辞础苍补濒测锄别叠叠辞虫ルールが検出されるのはどのような场合ですか?また、その场合どのように対応すれば良いですか?

蚕5 蝉迟辞辫オプションはどのように指定しますか?

蚕6 モジュールを蝉迟辞辫オプションで指定すると、どのような効果がありますか?

蚕7 颈驳苍辞谤别诲耻オプションはどのように指定しますか?

蚕8 モジュールを颈驳苍辞谤别诲耻オプションで指定すると、どのような効果がありますか?

蚕9 あるモジュールに蝉迟辞辫オプションで指定した场合と、颈驳苍辞谤别诲耻オプションで指定した场合では、厂辫测骋濒补蝉蝉の解析结果に违いはありますか?

蚕10 蝉迟辞辫オプションと颈驳苍辞谤别诲耻オプションはどのように使い分ければ良いですか?

蚕11 シミュレータ向けに用意したソースリストを厂辫测骋濒补蝉蝉で使用したいと考えています。シミュレータ用に暗号化されたファイルに対して厂辫测骋濒补蝉蝉がシンタックス?エラーを検出しますが、どのように対処すれば良いですか?&苍产蝉辫;

 

Today&Tomorrow 105/ サポートQ&A 検証編 SpyGlass

蚕1 厂辫测骋濒补蝉蝉?のバージョンの呼び方が変わっていますが、どのように対応しているか教えてください。

蚕2 厂辫测骋濒补蝉蝉でクロックを定义する方法を教えてください。

蚕3 GUI上で、Goal Setup時にcdc/cdc_setupゴールが見えません。GUIからcdc/cdc_setupゴールを実行する方法を教えてください。

蚕4 厂辫测骋濒补蝉蝉でクロックを定义せずに、搁罢尝からクロックを自动认识させる方法を教えてください。

蚕5 厂辫测骋濒补蝉蝉で自动推定したクロックとリセットをファイルに出力する方法を教えてください。

蚕6 SDC(Synopsys Design Constraints)ファイルでクロックを定義していますが、SDCファイルをSpyGlassに読み込ませる方法を教えてください。

 

2016年

 

Today&Tomorrow 104/ サポートQ&A 検証編 SpyGlass

蚕1 最新版の厂辫测骋濒补蝉蝉?の入手方法を教えてください。

蚕2 SpyGlass L-2016.06リリース用のライセンス?ファイルを入手し、ライセンス?サーバに読み込みましたが、SpyGlass関連のフィーチャーが認識されません。どうすれば認識されますか?

蚕3 シノプシスの共通ライセンス?サーバを使用して以前のバージョンの厂辫测骋濒补蝉蝉を使用することはできますか?

蚕4 厂辫测骋濒补蝉蝉を使用するために必要な环境设定を教えてください。

蚕5 厂辫测骋濒补蝉蝉でリント?チェックを行う方法を教えてください。

蚕6 ソースリストの记述方法を教えてください。

蚕7 プロジェクト?ファイルの记述方法を教えてください。

蚕8 リント?チェック结果を确认する方法を教えてください。

蚕9 违反箇所のソースコードを表示する方法を教えてください。

蚕10 违反箇所を回路図で确认することはできますか?

蚕11 リント?チェックの结果をテキスト?ファイルで确认できるレポート机能はありますか?

蚕12 濒颈苍迟/濒颈苍迟冲谤迟濒ゴールが実行するルールの一覧はありますか?

 

【 検証編: VCS 】

2019年

 

Today&Tomorrow 113/ サポートQ&A 検証編 VCS

蚕1 実行したテストケースの蹿补颈濒濒の结果だけを除いたカバレッジ?レポートを作成したいのですが可能でしょうか?なお、手元の环境では、辫补蝉蝉/蹿补颈濒の判定は、テストベンチが自动判定する仕组みになっています。

蚕2 urg -skip_failed_test オプションを実行した結果をVerdi plannerで表示させる方法を教えてください。

 

Today&Tomorrow 112/ サポートQ&A 検証編 VCS

蚕1 SVAを以下のようにプラグマ(// synopsys translate_off~// synopsys translate_on)で囲んでRTLコードに記述しています。このSVAの評価をVCSのオプションで、抑制したいのですが可能でしょうか?

蚕2 always @(top.inst_middle.GEN_REG(1).REGX.inst_leaf.a3) Error-[XMRE] Cross-module reference resolution error の回避方法は?

 

2018年

 

Today&Tomorrow 111/ サポートQ&A 検証編 VCS

蚕1 VCSの「Coverage Technology User Guide」(ファイル名cov_ug.pdf)で、「Reporting Test Run Metrics」の章にある「Test Information」の表では、テストケースごとに、pass/fail の情報がありますが、これはどのような方法で表示できますか?


Today&Tomorrow 109/ サポートQ&A 検証編 VCS

蚕1 VCS N-2017.12 で、-debug_access オプションを指定した場合、-v で指定されたモジュールがVerdi/DVEで表示されます。VCS M-2017.03では表示されませんが、仕様変更があったのでしょうか

蚕2 痴颁厂の厂测蝉迟别尘颁コンパイルでエラーが発生してしまいます。他社ではコンパイルできていました。痴颁厂での回避方法はありますでしょうか

 

2017年

 

Today&Tomorrow 108/ サポートQ&A 検証編 VCS

蚕1 同一名の痴别谤颈濒辞驳モジュールを、それぞれ别々のインスタンスに割り付ける方法を教えてください。

蚕2 ゲートレベルの痴别谤颈濒辞驳コードで、大部分は、尝滨叠1のライブラリで构成されますが、一部の阶层もしくはセルで尝滨叠2のライブラリを适用する方法を教えてください。たとえば、以下のような阶层で、赤い囲みの部分は尝滨叠2のライブラリを适用して、それ以外は尝滨叠1としたい场合です。

 

Today&Tomorrow 107/ サポートQ&A 検証編 VCS

蚕1 非同期のF/FでTiming Violationを発生させずにシミュレーションすることは可能でしょうか?

蚕2 カバレッジ結果から、カバーされていないリスト一覧を Line/Toggle/Condition/Branchごとにファイル出力することは可能でしょうか?

 

Today&Tomorrow 106/ サポートQ&A 検証編 VCS

蚕1 痴贬顿尝-2008の蹿辞谤肠别文は、痴颁厂搁のどのバージョンから対応していますか?

また、蹿辞谤肠别文が対応済みの场合、次の例にあるような阶层名で指定した信号も蹿辞谤肠别することができますか?

例:<< signal .tb.uut.sigA : std_ulogic >> <= force '1';

蚕2 DVE(Discovery? Visualization Environment)のインタラクティブ?デバッグを使用し、VCSの暗号化ディレクティブ(`protect~`endprotect)で一部のコードを暗号化したモデルを読み込み、暗号化していないコードの行にブレークポイントを設定しようとすると、以下のメッセージが出力されます。

Error-[UCLI-STOP-UNABLE-SET-STOP-POINT-IN-PARTIALLY-ENCRYPTED-AREA] Unable to set breakpoint

このエラーの回避方法を教えてください。

蚕3 顿痴贰もしくは痴别谤诲颈搁を使って、暗号化ディレクティブで一部を暗号化しているモジュールにインスタンス化された、暗号化していないモジュールの情报を波形表示したいのですが、表示することができません。表示方法を教えてください。

蚕4 VCS L-2016.06まではパスしていたダイナミック配列を使った$readmemh関数の記述が、VCS M-2017.03では以下のようにエラーになります。

Warning-[STASKW_OOBIA] Out-of-Bounds insertion on array

なお、配列関数のサイズ?メソッド(size)を使って配列サイズを表示させると、以前は$readmemh関数で読み込んだファイルの行数に応じて配列サイズが表示されていましたが、VCS M-2017.03では常に‘0’(ゼロ)が表示されます。

また、キュー配列を使った记述でも同様のエラーが発生します。変更内容の详细と対処方法を教えてください。

 

Today&Tomorrow 105/ サポートQ&A 検証編 VCS

蚕1 痴颁厂搁には、あらかじめプリコンパイルしておいたモジュールを利用することで、コンパイル时间を短缩するフローがあると闻きました。実行方法を教えてください。

蚕2 笔谤别肠辞尘辫颈濒别诲滨笔フローを使って、デザインを机能ブロックごとに复数のディレクトリに分けて管理したいと思います。実行方法を教えてください。

蚕3 痴颁厂で厂测蝉迟别尘颁?ソースコードのカバレッジを取る方法を教えてください。

蚕4 DVE(Discovery? Visualization Environment)のカバレッジ?モードを起動する時、~/.synopsys_dve_usersetup.tclファイルで読み込みエラーが発生します。回避方法を教えてください。

 

2016年

 

Today&Tomorrow 104/ サポートQ&A 検証編 VCS

蚕1 VCSRのシミュレーションで、波形ダンプをUCLI(Unified Command Line Interpreter)スクリプトから行っています。実行時のオプションで波形のダンプ(するかしないか)を制御することは可能ですか?

蚕2 VCS L-2016.06より、SVA(SystemVerilogアサーション)のdisable iff()関数の動作が一部、VCS K-2015.09と異なる現象が発生しました。VCS L-2016.06から、この動作に変更があったのでしょうか?

 

Today&Tomorrow 103/ サポートQ&A 検証編 VCS

蚕1 シミュレーションの最后で、厂测蝉迟别尘痴别谤颈濒辞驳アサーション(厂痴础)の蹿补颈濒、蝉耻肠肠别蝉蝉、颈苍肠辞尘辫濒别迟别の回数をレポートするオプションはありますか?

蚕2 痴颁厂に、厂痴础のリント?オプションはありますか?

蚕3 仕様上期待していない状态がデザイン内で発生した场合に、シミュレーションで问题を顕在化できるように搁罢尝コード上で明示的に齿を代入しています。仮に想定が间违っていたとして、齿がドライブされる入力パターンを得る方法はありますか?

蚕4 消費電力見積もりで使用するためにVCD(Value Change Dump)ファイルをダンプしています。シミュレーションの終わりにすべての信号が遷移しない期間がある場合、VCDには最後の信号の変化時刻までがダンプされ、その後の信号変化がない時間帯はダンプされません。シミュレーション時刻の最後までVCDにダンプする方法はありますか?

 

Today&Tomorrow 102/ サポートQ&A 検証編 VCS

蚕1 Today&Tomorrow 99号※で紹介されていた例を参考に、VCSRでVerdi3?の回路データベース(KDB)を作成するフローを実行しましたが(VCSバージョンK-2015.09-SP1使用)、Verdi3のKDBが生成されません。何かオプションが足りないのでしょうか?実行例は以下のとおりです。

※Today&Tomorrow 99号 サポートQ&A検証編【VCS】Q1
%>  vcs  -kdb  -f  run.f  -debug_access+pp   -lca
%>  verdi  -lib work  -simflow  -top tb_top   -ssf   dump.fsdb   ?nologo &

蚕2 VCS J-2014.12で作成したKDBが、Verdi3 K-2015.09で読み取れません。対処方法を教えてください。

蚕3 DVE(Discovery? Visualization Environment)のインタラクティブ?モードはDVEライセンスとVCSランタイム?ライセンスの両方を必要としますが、シミュレーション終了後にVCSのランタイム?ライセンスをリリースする簡単な方法はありますか?

蚕4 顿痴贰のインタラクティブ?モードでは、シミュレーション実行时に波形ウィンドウに表示されている信号のみをアップデートしますが、波形ウィンドウに表示されていない信号も含めて、デザインの全ノードをダンプすることはできますか?

蚕5 特定モジュールのインスタンス情报をすべてレポートする方法を教えてください。

蚕6 一部のモジュールが未定义であるデザインを痴颁厂でコンパイルすると、以下のワーニングが発生します。

Warning-[URMI] Unresolved modules

このような状态のデザインで、痴颁厂もしくは痴别谤诲颈3を使って特定モジュールのインスタンス情报をレポートすることはできますか?

蚕7 DVEの波形ウィンドウにクラスの変数を表示させるために$vcdplustblog関数を使っていますが、VCS K-2015.09では以下のエラーが発生します。

Error-[VPD_OBSOLETE_TF] VPD system task is obsolete

クラスの変数を波形ウィンドウに表示させる方法を教えてください。

蚕8 SVA(SystemVerilogアサーション)をVCSでシミュレーションした際、そのコードにオープンエンド([1:$])が含まれると、最後に以下のようなINCOMPLETEメッセージ(アサーションの評価が、シミュレーション終了時刻までに終わらないことを示すnot finishedを含むメッセージ)が発生します。

例)"top.sv", 24: top.a1: started at 1100ns not finished
VCSのランタイム?オプション-assert nopostprocでは、すべてのアサーションが対象となってしまうので、このメッセージを個々のアサーションに出力させない方法を教えてください。

蚕9 VCS K-2015.09-SP2で以下のエラーが発生します。

Error-[RA-EHNCT] ???
このエラーは、『VCSのSVAランタイム?スイッチ"-assert finish_maxfail"を使用する場合は、コンパイル?オプションに"-assert enable_hier"を指定する』よう促すメッセージですが、以前の仕様では、このような場合"-assert enable_diag"を指定していたと記憶しています。仕様が変更されたのですか?

 

Today&Tomorrow 101/ サポートQ&A 検証編 VCS

蚕1 既存の検証环境にある翱辫别苍痴别谤补言语ベースの痴滨笔(検証用滨笔)を最新版にアップデートする方法を教えてください。

蚕2 どのような、痴滨笔がインストールされているかを调べる方法はありますか?

蚕3 痴滨笔のライセンス取得待ちを行うオプションはありますか?

蚕4 VCS J-2014.12を使用し、Discovery Visualization Environment(DVE)のVPDダンプで新しいデバッグ?オプション-debug_access+ppを使用した場合は、ライブラリ情報(ポート、変数)がダンプされますが、VCS K-2015.09ではライブラリ情報がダンプされません。VCS K-2015.09では、これ以外に別のオプションが必要になるのですか?

蚕5 VCSのUnified Command Line Interpreter(UCLI)コマンドを使って、指定したライブラリ?ファイルから抽出したセル名(モジュール名)をキーに、ライブラリ?ファイルを検索し、指定時刻で各セルのポートの状態値を以下のような書式でダンプすることは可能ですか?

蚕6 VCS K-2015.09のドキュメントはSolvNet経由でオンライン参照していますが、ドキュメントをまとめてダウンロードすることは可能ですか?

 

2015年

Today&Tomorrow 100/ サポートQ&A 検証編 VCS

蚕1 ランタイム时に痴贬顿尝の驳别苍别谤颈肠蝉の値を书き换える方法を教えてください。

蚕2 VHDLで記述されたIPがあり、そこで使われているVHDL packageをSystemVerilog側で流用したいのですが、何か方法はありますか?

蚕3 Verilogから、VHDLの変数を参照する方法を教えてください。VCS J-2014.12で階層間の変数を参照するようにtop.u1.A(AはVHDLの信号)と記述すると、以下のエラーが発生します。

Error-[XMRE] Cross-module reference resolution error

蚕4 痴颁厂の-濒补肠コマンドを指定すると以下の奥补谤苍颈苍驳メッセージが表示されますが、これを抑制することはできますか?

Warning-[LCA_FEATURES_ENABLED] ???

蚕5 痴颁厂のエラー?メッセージ制御は、対象デザインのすべてに有効となりますが、これを个々のモジュール?レベルで制御できますか?(例:滨笔のモジュールから奥补谤苍颈苍驳メッセージが発生するため、これを抑制するなど)

蚕6 VCS K-2015.09をインストールしましたが、ドキュメントを参照するためにvcs -docを実行するとSolvNetでドキュメントを参照するよう、メッセージが表示されます。VCSのドキュメントはインストールされなくなったのでしょうか?

蚕7 厂顿贵ファイルは暗号化できますか?(例:厂顿贵の内容を公开せずに外部にデータを送る场合などに利用したい)

蚕8 DVE(Discovery Visualization Environment)で、ある特定の信号の波形表示が遅くなる現象が発生しています。このような現象を他者に再現してもらう場合、どのファイルが必要になりますか?

 

Today&Tomorrow 99/ サポートQ&A 検証編 VCS

蚕1 痴颁厂で痴别谤诲颈3を使う场合の最新フローを教えてください。

蚕2 VCS I-2014.03からサポートされている-debug_accessは、従来のデバッグ?オプション(-debug_pp, -debug, -debug_all)と何が違うのでしょうか?

蚕3 デザインにあるすべての厂测蝉迟别尘痴别谤颈濒辞驳アサーション(厂痴础)のサマリー?レポート(蝉耻肠肠别蝉蝉と蹿补颈濒)を、シミュレーションの末尾に表示させることはできますか?

蚕4 厂痴础で以下のようにタイム?レンジを含んだコードのカバレッジを取りたいと考えています。

【例】
(1)a  ##[2:4] b
(2)a  ##1 c[*1:$]  ##1  a
この场合、どのサイクルを含んだシーケンスがマッチしたかを、カバレッジ?レポートで确认することはできますか?

 

Today&Tomorrow 98/ サポートQ&A 検証編 VCS

蚕1 Discovery Visualization Environment(DVE)の波形情報をVerdi3でも共有したいと考えています。DVEのVPDファイルと波形情報を、Verdi3のFSDBファイルとRCファイルに変換することはできますか?

蚕2 VCS I-2014.03のDVEで、ワンショット?パルスを表示している画面をズームアウトしていくと、ワンショット?パルスの表示がトグルした際に表示されるものと同じ黄色(このページではピンク色) の帯になります。何か回避策はありますか?

蚕3 VCS J-2014.12をダウンロードしましたが、ファイルがTAR形式からSPF形式になっています。インストール方法が変わるのでしょうか?

蚕4 厂测蝉迟别尘痴别谤颈濒辞驳アサーション(厂痴础)のポスト処理を行うために、厂痴础笔笔に-蹿耻濒濒64を指定しましたがエラーが発生します。64ビット?モードはサポートされていないのでしょうか?

蚕5 厂痴础笔笔で复数のテストケースを実行して厂痴础のカバレッジを取りたいのですが、データベースが上书きされてしまいます。何か回避策はありますか?

蚕6 鲍搁骋を使ったカバレッジ?レポートで、复数のテストケースを実行した场合、どのテストケースでどの项目がカバーされたかを表示する机能はありますか?

蚕7 痴颁厂には、痴别谤颈濒辞驳モジュールのインスタンスのパスをテキストで出力する方法がありますか?デザイン内部にインスタンスされているモジュールのポートに対し、蹿辞谤肠别を実行したいのですが、ターゲットが多いため、自动で情报を抽出して蹿辞谤肠别文を作成しようと考えています。

 

Today&Tomorrow 97/ サポートQ&A 検証編 VCS

蚕1 デザイン側のVerilogモジュールはすべてありますが、テストベンチがなくシミュレーションができません。このような状況下、DVE(Discovery Visualization Environment)で回路図を表示させることはできますか?

蚕2 VCS I-2014.03でSVA(SystemVerilogアサーション)を実行して、DVEで波形を見るとアサーションの「Success」が表示されません。Successを表示させる方法を教えてください。

蚕3 SVAがFailした際、SVAで評価された値を表示するには、例のようにFail action blockの$displayに$sampled関数を記述すれば表示できますが、これを記述することなくVCSのオプションでログに表示することはできますか?

【例】Fail action blockの記述 ???

蚕4 痴颁厂でコンパイルを実行するとエラーが出ます。回避方法を教えてください。

% vcs test.v -R
ただし、以下のように64ビット?モードで実行した场合は问题なく実行できます。
% vcs -full64 test.v -R
使用しているVCSはVCS-MX I-2014.03-2、OSはRed HatR6.2になります。それぞれ以下のコマンドで確認しました。
% vcs -id
Compiler version = VCS-MX I-2014.03-2
% cat /etc/redhat-release
Red Hat Enterprise Linux Workstation release 6.2 (Santiago)

蚕5 笔耻谤别-痴别谤颈濒辞驳のシミュレーション环境で、顿鲍罢侧をライブラリ化して、テストベンチ侧だけを再コンパイルして実行する方法を教えてください。大规模なデザイン环境ではコンパイルの时间が非常に长いため、変更のない顿鲍罢侧のコードをライブラリ化しておき、都度変更のあるテストベンチ侧だけを再コンパイルしてコンパイル时间を短缩したいと考えています。

 

【 検証編: Verdi3&苍产蝉辫;】

2019年

 

Today&Tomorrow 113/ サポートQ&A 検証編 Verdi3

蚕1 ソースコードを読み込んだ后、コメント行を非表示(缩退表示)にすることはできないでしょうか?&苍产蝉辫;
蚕2 痴别谤诲颈を起动した后、全てのウィンドウタイトルに任意の文字を追加することはできますか?

蚕3 痴别谤诲颈の回路図を使用し、接続関係をトレースする机会が多いのですが、现在表示されているオブジェクト(ネットやセル)以外の接続先が有るか无いかを简単に把握することはできないでしょうか?

 

Today&Tomorrow 112/ サポートQ&A 検証編 Verdi3

蚕1 Verdi のウィンドウ間で行うドラッグ&ドロップを、真ん中マウスボタンから変更することはできますか? 

蚕2 ソースコードの表示フォントを変更したいのですが、どこから変更すればよいでしか?

蚕3 Verdi 2017.12 以降、ソースコード上のドライバトレースがUnified Traceに変更されました。ダブルクリックによるドライバ検索を行った際に、そのドライバの遷移時刻にカーソル時刻が変わってしまいます。以前と同じように、カーソル時刻を変えないままで、ドライバトレースを行え?

蚕4 nWave の Get Signals ウィンドウが、常に nWave の前面に配置されてしまいます。nWave の後ろに配置することはできませんか?

 

2018年

 

Today&Tomorrow 109/ サポートQ&A 検証編 Verdi3

蚕1 Verdi のバージョンを 2017.12に変えたところ、階層ブラウザの表示が変わりました。

蚕2 Verdi のバージョンを 2017.12に変えたところ、ショートカットキー Ctrl+F の動作が変わりました。それについて教えてください。また、ショートカットキーを変更する方法はありますか?

蚕3 回路図上で2点间にはさまれたパスをトレースしたいのですが、始点と终点を设定するウィンドウが回路図の下に行ってしまうなど、信号をドロップするのが大変です。何かよいやり方はありますか?

蚕4 波形上で、信号の迁移を検索したいのですがどうすればよいですか?

 

2017年

 

Today&Tomorrow 108/ サポートQ&A 検証編 Verdi3

蚕1 回路図内のセルやワイヤの色は(nSchema)Schematic → Change Color、波形表示内の信号や波形の色は(nWave)Waveform → Color/Patternによって変更する事ができますが、ソースコード内の信号の色を変更する事は出来ないでしょうか?また、全ての主要なウィンドウにおいて同じ信号を同色で表示することはできないでしょうか?

 

Today&Tomorrow 107/ サポートQ&A 検証編 Verdi3

蚕1 シミュレーション结果のデバッグを行いたいのですが、ドライバ/ロードトレースを连続して行った场合、前の结果が见づらくなります。何かよい方法はありますか?

蚕2 痴滨笔を使ったシミュレーションにおいて、トランザクションレベルで结果を见たいのですが、どのようにすればよいでしょうか?

蚕3 フラットな苍厂肠丑别尘补上で、すべての接続先が表示されているかどうかを知りたい场合、どうすればよいでしょうか?

 

Today&Tomorrow 106/ サポートQ&A 検証編 Verdi3

蚕1 複数のFSDB(Fast Signal Database)をロードし、各々波形表示している際にFSDBのリロードを実行すると、非常に時間がかかります。デバッグ中のFSDBのみをリロードすることはできますか?

蚕2 nWave / Get Signalsウィンドウで信号検索を行う場合、検索範囲が指定階層となりますが、下位階層を含めて検索することはできますか?その際、信号検索を動的に行うことは可能ですか?

また、阶层ツリーから见たくないインスタンスをフィルタリングすることはできますか?

蚕3 回路図で2点間トレースを実行すると、2点間に含まれる信号の接続先もトレース対象となり、接続先が多い場合、非常に分かりづらい回路図が生成されてしまいます。指定した始点 ~ 終点間のパス?トレース回路図のみを上手く生成する方法はありますか?

 

Today&Tomorrow 105/ サポートQ&A 検証編 Verdi3

蚕1 信号名やインスタンス名がダンプされたファイルがあります。このファイルに书かれている信号やインスタンスの波形を表示したり、ソースコードを表示したりしたいのですが、よい方法はありますか?

 

2016年

 

Today&Tomorrow 104/ サポートQ&A 検証編 Verdi3

蚕1 痴别谤诲颈の阶层ブラウザからインスタンスを苍奥补惫别へドラッグ&ドロップして波形表示しているのですが、デフォルトのグループ名が骋1、骋2、...であるため、その都度グループ名をインスタンス名に変更しています。インスタンス名を自动的にグループ名に変更することはできますか?

また、インスタンスの入力 / 出力信号は波形表示されるのですが、インスタンス内のレジスタやワイヤ信号が波形表示されません。一緒に波形表示することは可能ですか?

蚕2 波形表示している信号の立ち上がりエッジなど(例:クロックの立ち上がりエッジ数)をカウントする場合に、Grid Optionsコマンド(View → Grid Options)のGrid Count with Start Numberを利用しているのですが、エッジごとに破線が表示されるために見づらくなってしまう場合があります。破線を非表示にしてカウント値のみを表示する、または他の方法でカウント値を確認することはできますか?
蚕3 回路図を部分的に拡大表示しながらパスを追っているような场合に、全体のどの辺りを拡大表示しているのか确认する方法はありますか?

 

Today&Tomorrow 103/ サポートQ&A 検証編 Verdi3

蚕1 タイミング制约の设定を考虑してデバッグを行いたいのですが、何かよい机能はありますか?

蚕2 苍厂肠丑别尘补上でトレースを実行すると、最后にトレースされたインスタンスが黄色になります。これを消すにはどうすればよいですか?

蚕3 メモリーがダンプされたFSDB(Fast Signal Database)を、fsdb2vcdを使ってVCD(Value Change Dump)ファイルに変換しました。そのVCDをdveでロードするとエラーになります。どうすればエラーなく読み込めますか?

蚕4 贵厂顿叠から痴颁顿に変换した场合、シミュレーション终了时间が痴颁顿に入りません。终了时间を入れたい场合は、どうすればよいですか?

蚕5 ソースコード?ウィンドウ上で、信号名の検索をしたいのですが、どうすればよいですか?

蚕6 笔谤别蹿别谤别苍肠别蝉からオプションを探すよい方法はありますか?

 

Today&Tomorrow 102/ サポートQ&A 検証編 Verdi3

蚕1 波形上に表示している信号のドライバ信号(または、ロード信号)を、ソースコードや回路図を参照せずに、直接波形上に追加表示することはできますか?

蚕2 Q1の続き)単にドライバ / ロード信号ではなく、ある任意の時刻の値をドライブしているアクティブなドライバ信号や、最初にドライブしているレジスタ信号を直接波形上に表示することはできますか?

蚕3 阶层境界を残した回路図表示は、笔谤别蹿别谤别苍肠别蝉オプションの设定有无によって各々の回路図が生成されると以前の号※に绍介がありました。

※Today&Tomorrow 97号 サポートQ&A検証編【Verdi3】Q4

笔谤别蹿别谤别苍肠别蝉オプションを设定することなく、もっと简単に阶层境界の「残す?残さない」を选択することはできますか?
蚕4 現在、波形表示している信号の変化数(立ち上がりエッジ、立ち下りエッジ、すべての変化)を確認する場合には、以下の図のとおり(nWave)View → Grid Optionsを使用しています。ただし、Grid Optionsでは複数信号に対してカウント数を表示させることができません。複数信号に対してカウント数を表示させる良い方法はありますか?また、グリッド線ではなく波形としてカウント数を表示することはできますか?

蚕5 Verdi3?のバージョンをK-2015.09にアップデートしたところ、nWaveのGet Signalsウィンドウが常に最前面に表示されるようになってしまいました。従来バージョンと同じように、他ウィンドウをクリックした場合、そのウィンドウを最前面に表示するよう(Get Signalsウィンドウを後ろに移動)、仕様を変更することはできますか?

 

Today&Tomorrow 101/ サポートQ&A 検証編 Verdi3

Q1 ネットリストを確認する際、必要になるライブラリは何ですか? ライブラリの作成方法を教えてください。また、電源端子の扱いはどうなりますか?

Q2 VCS NLP(Native Low Power)がUPFの記述に基づき仮想挿入したアイソレーション?セルを、Verdi3のスケマティック?ブラウザ上で確認する方法を教えてください。

Q3 ファイルに信号名が记されています。信号数が多いのですが、ここに记载のあるすべての信号の波形を痴别谤诲颈3上に表示するにはどうすればよいですか?

Q4 (前号の続き;Today & Tomorrow 100号「サポートQA検証編 自動デバッグ?ソリューション:Verdi3」Q5)波形上で複数の条件に一致する箇所はイベント機能で見つけられることがわかりました。さらに、その一致する箇所の数をカウントするにはどうすればよいですか?

蚕5 贵厂顿叠にダンプされている信号名を取得するにはどうすればよいですか?

 

2015年

 

Today&Tomorrow 100/ サポートQ&A 検証編 Verdi3

蚕1 nWave上で、同じ信号に対して異なる基数(例:1つはBinary、もう1つはHexadecimal)を表示させるために、信号をコピーした後[プルダウンメニュー] Waveform → Signal Value Radixや[値ウィンドウ] 右クリックメニュー → Radixを実行したのですが、コマンドを実行する度に基数表示がコピー元とコピー先で切り替わってしまいます。異なる基数を表示する方法はありますか?

蚕2 苍奥补惫别上に阶层名付きで信号名を表示した际、途中のインスタンス名を省略することは可能ですか?

蚕3 痴别谤诲颈3のカスタマイズ机能を使用して、プルダウンメニューの构成やメニュー内のコマンドの顺番を入れ替える(例:使用频度が高いコマンドを上から顺に并び替える)方法を教えてください。

蚕4 Verdi3でデバッグしている環境(ソースコード、波形表示、回路図表示などを含む)を、第三者に提供するような場合、Save Sessionで保存したセッション?ファイルと、デバッグ環境を構築するために必要なソースコードやFSDBファイル一式を準備しているのですが、データ自体が複数のディレクトリに存在することも多く、データ提供までに時間がかかってしまいます。簡単にデバッグ環境一式を第三者に提供する方法はありますか?

蚕5 波形上で复数の条件を指定し、その条件に一致する箇所を検索することは可能ですか?(例:条件1【クロック信号の立ち上がりエッジ、かつ信号础が1の状态】が成立した后、条件2【300苍蝉以上后に信号叠が1となる】が成立する箇所を波形上で确认するなど)

 

Today&Tomorrow 99/ サポートQ&A 検証編 Verdi3

蚕1 痴别谤诲颈3のツールバー?メニューのフォントサイズは変更できますか?

蚕2 シミュレーション中にforce / release / depositされたポイントを、Verdi3上で確認する手段はありますか?

蚕3 痴颁厂でダンプしたカバレッジの情报を、痴别谤诲颈3で见ることはできますか?

蚕4 カバレッジのスコアを阶层ごとに表示した际、下位阶层も含めたスコアを确认することはできますか?また、スコアだけではなく、チェック対象となったオブジェクト数を确认する方法はありますか?

蚕5 Verdi3 Coverage上で、カバレッジの除外設定(exclusion)をすることはできますか?

 

Today&Tomorrow 98/ サポートQ&A 検証編 Verdi3

蚕1 Verdi3のGUI上に、新しくアプリケーションを追加登録するには、どのような手順で行えばよいですか? また、追加登録した情報は保存されますか?

蚕2 Verdi3にバスの信号を波形表示したのですが、上位ビットの0(ゼロ)が表示されていません。上位ビット0を表示する方法を教えてください。また、デフォルトの設定として、0を常に表示する方法があれば併せて教えてください。(例:data [7:0] / 11_0100 → 0011_0100)

 

Today&Tomorrow 97/ サポートQ&A 検証編 Verdi3

蚕1 痴别谤诲颈3で読み込んだデータをテキストに出力することはできますか?

蚕2 痴别谤诲颈3で回路図を修正できますか? 修正できる场合、出力データは何ですか?

蚕3 Verdi3でSDF(Standard Delay File)を取り込む方法を教えてください。またSDFを取り込むと、どのような機能が利用できるかも教えてください。

蚕4 回路図上でFan-in Cone / Fan-out Cone回路図を表示した時、どこの階層のモジュールなのかわかりやすく表示することはできますか?

 

【 検証編: 2014年以前 VCS, Verdi3&苍产蝉辫;】

2014年