Cloud native EDA tools & pre-optimized hardware platforms
Accelerating success from early architecture to manufacturing.
Synopsys is a leading provider of electronic design automation solutions and services.
Unlimited access to EDA software licenses on-demand
Synopsys is a leading provider of high-quality, silicon-proven semiconductor IP solutions for SoC designs.
Synopsys is a leading provider of hardware-assisted verification and virtualization solutions.
Explore our success stories.
Synopsys Products
Synopsys products are organized in alphabetical order. If you have any questions or can't find what you're looking for, feel free to contact us.
3DIC Compiler
AMBA
ARC Development Systems
ARC Development Tools
ARC EM Processors
ARC EV Processors
ARC Functional Safety Processors
ARC HS Processors
ARC Operating Systems (OS)
ARC Processor IP
ARC SEM Processors
ARC Subsystems
ARC VPX DSP Processors
ASIP Designer
Avalon
CATS
CCIX
Circuit Check
CODE V
coreAssembler
coreBuilder
coreConsultant
Cryptography IP
Custom Compiler
Custom WaveView
Custom WaveView ADV
CustomSim
CXL
Data Converters
Datapath
DDR
Debug, Planning & Coverage
Design Compiler Graphical
Design Compiler NXT
Design Services
DesignWare IP
DevSecOps Integrations
Die-to-Die
DisplayPort
DSO.ai
Embedded Memories
ESP
Ethernet
Euclide
Formality ECO
Formality Equivalence Checking
Foundation Cores
Foundation IP
FPGA Design
FPGA Verification
Fusion Compiler
Fusion Design Platform
GPIO
HAPS
HBM
HDMI
High-Speed SerDes
IC Compiler II
IC Validator
IC Validator WorkBench
Interconnect Simulation
Interface IP
Interface Security Modules
IP Prototyping Kits
IP Subsystems
Laker Custom Design
Laker Flat Panel Display
LightTools
Logic Libraries
LPDDR
LucidShape
LynxNXT Automation System
Manufacturing
Microcontrollers
MIPI
Mobile Storage
Multi-Protocol PHYs
MVSIM
NanoTime
Non-Volatile Memory
Odyssey
Open Source Audits
Optical Engineering Services
Optical Scattering Measurements
Optimizer
OptoCompiler
OptSim
PCI Express
Platform Architect
Platform Architect Models
PrimePower
PrimeLib
PrimeShield
PrimeSim Continuum
PrimeSim Custom Fault
PrimeSim HSPICE
PrimeSim Pro
PrimeSim Reliability Analysis
PrimeSim SPICE
PrimeSim XA
PrimeTime
PrimeWave Design Environment
Process Simulation
Proteus
Proteus ILT
Proteus LRC
Proteus MetroKit
Proteus WorkBench
Prototyping
PVT Sensors
PyCell Studio
QuantumATK
QuickCap NX
Raphael
RedHawk Analysis Fusion
Root of Trust
RSoft Photonic Device Tools
RTL Architect
Saber
Saber EXP
SaberES Designer
SaberRD
Secure Development
Security IP
Security Protocol Accelerators
Sentaurus Device
Sentaurus Interconnect
Sentaurus Lithography
Sentaurus Process
Sentaurus Structure Editor
Sentaurus Topography
Sentaurus Workbench
Signoff
SiliconMAX Platform
SiliconSmart
SmartMRC
SoC Verification Automation
Silver
Simpleware
Simulation
SpyGlass
StarRC
Static & Formal Verification
Static Analysis
Sysnav
Synplify
Taurus-Medici
Taurus-TSuprem4
TCAD
Testbench Quality Assurance
TestMAX Access
TestMAX Advisor
TestMAX ALE
TestMAX ATPG
TestMAX DFT
TestMAX Diagnosis
TestMAX Manager
TestMAX XLBIST
TestMAX Vtran
TestWeaver
TLM Library
TPT
USB
VC AutoTestbench
VC Execution Manager
VC Formal
VC LP
VC Replay
VC SpyGlass
VC SpyGlass Fault Analysis
VCS
Verdi
Verification Continuum
Verification IP
Verification Services
VESA DSC
Video Analog Front Ends
Virtual Prototyping
Virtual Prototyping Models
Virtual Prototyping Services
Virtualizer
Virtualizer Models
Yield Explorer
YieldManager
ZeBu
Z01X
Connect with Us