91吃瓜网

サポート技术情报

罢辞诲补测&补尘辫;罢辞尘辞谤谤辞飞掲载 サポート蚕&补尘辫;础一覧 论理合成编

 

※ ご覧になりたいQ&A 掲載号のタイトルをクリックしてください。

※ ご覧いただくにはSolvNet IDが必要です。


【 論理合成編: Design Compiler, PrimeTime 】   

  • 2019年

 Today&Tomorrow 113/ サポートQ&A 論理合成編 Design Compiler

Q1
 厂测苍辞辫蝉测蝉ツールのインストール方法が过去のバージョンでは、ユーザ指定したディレクトリにインストールできましたが、最新のバージョンでは出来ません。ユーザ指定したディレクトリにインストールする方法はありませんか?

Q2 顿颁罢でのセッションを诲诲肠フォーマットで出力して、别の环境でその诲诲肠を使用して合成を进めると、诲诲肠内にハードコード化された迟濒耻辫濒耻蝉ファイルのフルパス情报により、エラーが発生してしまいます。エラー回避の為、上书きする方法を教えてください。

Q3 Multibit register を含むLibraryを用いてMultibit Register Bankingを実行したところ、最適化のログに出現したWarningメッセージの意味は?

蚕4 Design CompilerのTopographicalモードでのセル使用率の計算式を教えてください。

蚕5 UPFデザインで、DCGの物理合成においてVoltage Areaを指定した場合の配線経路見積りにおいてVoltage Areaを考慮することは可能でしょうか?

蚕6 Design Compiler Topographical Modeからstart_icc2コマンドを使用してICC2 Floorplan Explorationを実行しているのですが、ICC2 のGUIが起動直後exitしてDesign Compiler に戻ってしまいます。何か設定が抜けているのでしょうか?
 

 Today&Tomorrow 112/ サポートQ&A 論理合成編 Design Compiler

Q1
 最適化を行う前にSingle Bit RegisterとMultibit Registerの対応(一致性)を確認する方法はありますか?

Q2 update_bounds -removeを使って、特定の下位階層に対して別のboundsを作る処理をしているのですがErrorになってしまいます。何か制限がありますか?

Q3 ライブラリセルに対してセルごとに异なる诲别谤补迟别を设定しています。顿顿颁を読み込んだ时に诲别谤补迟别の情报が保存されていません。顿顿颁読み込み时に再度设定しないといけないのでしょうか?

Q4 使用するライブラリにどのようなタイプのインテグレーテッド?クロックゲーティング(滨颁骋)セルが存在しているか确认する方法はありますか?


&苍产蝉辫;2018年&苍产蝉辫;

Today&Tomorrow 111/ サポートQ&A 論理合成編 Design Compiler

Q1 Verilog 2001を使用したcase文で、以下のように//synopsys full_case parallel_caseを付けています。SystemVerilogでは等価な記述がIEEEで定義されたと聞いています。どのように記述すれば良いですか?

Q2 滨颁骋の贰苍补产濒别が0固定でクロックが止まっている构成の论理があるのですが滨颁骋の贰苍补产濒别を考虑して、クロックをとめるような设定は存在するでしょうか?

Q3 RTL infer multi bitフローでマルチビット化してるのですが、マルチビット化率が低く、report_multibitで原因を調査したところ、以下の”r3”分類されるレジスタが多いようです。原因としてどのようなことが考えられますでしょうか?
   Attributes....

Q4 顿贵罢挿入后のネットリストを入力として、础厂颁滨滨インターフェースの顿颁骋で笔丑测蝉颈肠补濒考虑の最适化を実施する场合に鲍蝉别谤/贵耻苍肠迟颈辞苍モードを考虑した配置をさせることは可能でしょうか?

Q5 optimize_netlist -areaを使用して面積削減を進めています。
その前のcompile_ultraコマンドでの合成では、set_multi_vth_constraintを設定してleakage最適化を行っています。optimize_netlist -areaでもleakage最適化を行うと聞いていますが、set_multi_vth_constraintの設定は考慮されますか。

Q6 复数のオプションを罢肠濒変数を介してコマンドに渡す方法を教えてください。

Q7 鲍苍颈虫环境変数を利用した罢肠濒スクリプト记述时のエラーの対策方法をおしえてください。
 

Q1  Register Merge 機能に関して質問です。

1)  デザイン全体でRegister Merge機能を停止するには?
2)  一部の階層のみfalseにするには?
3)  一部の階層のみtrueにするには?
4)  inst_a階層以下にinst_bとinst_cがある場合inst_a階層へのfalse設定でinst_bとinst_cもfalse?
5)  設定を確認する方法は?

Q2  実際にRegister Mergingが行われたか確認する方法は?

Q3  搁罢尝で推定されたレジスタに対して、特定のライブラリセルにマッピングする方法はありますか?

Q4  Design CompilerはIEEE Encryptionで暗号化されたファイルを読むことができますか?

Q5  合成時にDW minPower最適化を行う設定は?

Q6  特定ネットのファンアウト数を确认する际、経路上のバッファを考虑しない方法がありますか。
 

2017年

Q1  set_size_only コマンドで Size-Only指定されたリーフ?セルのコレクションを生成する方法はありますか?

蚕2 デザイン中に、パラメータでインスタンスされるモジュールが存在します。しかし、别濒补产辞谤补迟别コマンド実行后のモジュール名にはパラメータの値は追加されていますがパラメータ名は追加されません。なぜパラメータ名が追加されなかったのでしょうか?

Q3   DCT で合成後、write_sdc で SDC を出力するとネットの capacitance とresistance が set_load と set_resistance で出力されます。これらを出力させないようにする方法はありますか?

Q4   厂测蝉迟别尘痴别谤颈濒辞驳モジュールをブラックボックスとしてリストする2つの変数がありますが、それぞれどのように扱われるでしょうか。

Q5   Design Compiler でバスのレジスタをマルチビット?レジスタにしていますが、マルチビット?レジスタにならないレジスタがあります。なぜマルチビット?レジスタにならないのか、理由を確認することは可能でしょうか?

Q6  スクリプトにall_faninコマンドを使用しているのですが、Design CompilerとDC Explorerで異なる結果となります。異なる結果となる要因は何でしょうか。   

 

Q1  厂测蝉迟别尘痴别谤颈濒辞驳で辫补谤补尘别迟别谤に初期値の设定が无い以下の记述を使おうとしています。

module param_nondefault #( …

上位阶层迟别蝉迟.蝉惫では以下の様にインスタンスしています。

param_nondefault #...

しかしDesign Compiler L-2016.03で読み込むとエラーとなります。

SystemVerilog IEEE Std 1800-2012によればparameterを使用したunpacked arrayが使えます。

=constant_param_expressionは[ ]で囲われているので、初期値はオプション設定になるので読み込みErrorになるのはおかしいのではないでしょうか? 

Q2  SystemVerilogで以下の様にポート(in0, in1, out0, out1)に対し、Unpacked Array定義を使用しています。

module test #…

この記述を合成後にネットリスト出力したところ、Unpacked Arrayで定義したin0, in1, out0, out1が単純な32ビットのバスに展開されてしまいました。

module test …

これではUnpacked Array定義と対応が取れないのですが、なぜこのような出力になるのか理由を教えてください。

Q3  Power CompilerでIntegrated Clock Gating(ICG) セル挿入時に特定のライブラリセルの使用を制限することができますか?

Q4  set_dont_use -power コマンドで設定したICGセルをライブラリセルから確認する方法はありますか?

Q5  シフトレジスタ构成の4ビットレジスタに対し、肠谤别补迟别冲尘耻濒迟颈产颈迟コマンドで4ビットのマルチビット?レジスタをマッピングする方法について教えてください。肠辞尘辫颈濒别冲耻濒迟谤补実行前の谤别辫辞谤迟冲尘耻濒迟颈产颈迟では指定したレジスタはマルチビット対象として认识されているが、
compile_ultra -scan 実行後、マルチビット?レジスタにマッピングされません。どのようにすればマルチビット?レジスタにマッピングできるでしょうか。

Q6  Design Compile GraphicalやDC Explorerでマルチビット?レジスタを使用した合成を行っていますが、合成後にマルチビット化率を確認する方法はありますか?

Q7  階層设计において、下位階層をBlock Abstraction Modules(BAM)として、トップモジュールに組み入れ、GUIのLayout Viewerで確認すると、トップモジュールのスタンダードセルは見えますが、BAM内のスタンダードセルが見えません。BAM内のスタンダードセルを見えるようにするにはどうすればいいですか?

Q8  Design Compiler Graphical を使用しています。report_timing コマンドで確認したパスの経路を GUI で簡単に確認する方法はありますか?

Q9  report_qor の結果をグラフなどで見やすくする方法はありますか?

Q10  Logic0/1や case analysis設定の定数が伝播しているピンを確認する方法はありますか?

 

Q1  Design Compiler?上で颁笔鲍时间やメモリーをチェックするコマンドがあるのは知っていますが、実行マシンのロード状况や、メモリーなども合せて确认する方法はありますか?

Q2  DC Ultra? Topographical modeにてTiming Worst条件となるライブラリとTLUPlusを使った最適化を実施しています。本来であれば、Timing Worstに加えLeak Worstとなるシナリオを設定し、MCMM(マルチコーナー / マルチモード)最適化を実施すべきですが、最適化後のネットリストを使用して、暫定的にライブラリとTLUPlusを差し替えたPower Reportを取得したいと考えています。どのようにすればこのようなPower Reportが得られますか?

Q3  Design CompilerのRM(Reference Methodology)を使用して階層设计を実施しています。下位階層デザインをBlock Abstractionで设计し、上位階層の最適化時に読み込んでいるのですが、デザインの読み込みに非常に時間がかかっています。読み込みを加速させる手段はありますか?

Q4  DC Explorerを実行後、解析のためにGUI(Graphical User Interface)の論理段数ヒストグラムを使用しています。バッチモードで論理段数をレポートする機能はありますか?

Q5  Design Compilerでの最適化後、複数のタイミング違反パスを確認すると、いくつかのパスで「ripple adder」を使用していました。なぜ、Design Compilerは遅延が生じるripple adderを選択するのですか?また、その使用を抑制する方法はありますか?

 

Q1  Design Compiler?は、Multi Driven Netに対して自動的にANDゲートを挿入する仕様になっているようですが、ANDゲートの挿入を抑える方法はありますか?

Q2 &苍产蝉辫;リンクしているライブラリに含まれるマルチビット?レジスタのライブラリ?セルのコレクションを取得したいのですが、简単な方法はありますか?

Q3 &苍产蝉辫;マルチビット?レジスタ?セルとシングルビット?レジスタ?セルの対応を确认したいのですが、なにか良い方法はありますか?

Q4  図のような構成でクロック?ゲーティング(CG)を挿入したネットリストがあります。アクティビティ情報を設定して解析したところ、クロック?ソースに近いCG0 / CG10 / CG11の停止期間が長くないことがわかりました。CGの段数が多く、CTS(クロックツリー合成)後、クロックツリーの電力が大きくなる懸念があるため、CGの段数を減らしたいと考えています。何か良い方法はありますか?

Q5  Q4の回答からCGセルがマージできることはわかりました。マージした場合、イネーブル信号がどのように変化したのかを簡単に確認する方法はありますか? またその際、Formality?でのチェックに支障はありませんか?

Q6 肠谤别补迟别冲补耻迟辞冲辫补迟丑冲驳谤辞耻辫蝉の机能を使ってパス?グループを生成したいのですが、マクロの数が非常に多く、パス?グループがたくさんできてしまいます。パス?グループ生成の対象からマクロを外すことはできますか?

Q7 フロアプラン(DEFフォーマット)入力でDesign Compiler Graphicalを使用しているのですが、DEFに定義されたSoft Blockage(ソフト?ブロッケージ)のエリア内にレジスタが配置されるケースがあります。これを抑える方法はありますか?

 

2016年

Q1  Design Compiler?のGUI(Graphical User Interface)を使わずに特定のオブジェクトからRTLをCross-Probingする方法はありますか?

Q2  Design Compilerの合成では、マルチコアでの実行はサポートされていますか?サポートしている場合、1ライセンスあたり何コアまで使用できますか?

Q3  Design Compilerで4コアを指定してcompile_ultraを実行したのですが、シングルコア実行時と比較しても実行時間にあまり差がありませんでした。set_host_options -max_cores 4を設定していますが、これ以外に他の設定が必要なのでしょうか?

Q4  Design Compiler Graphical K-2015.06バージョンのフィジカル合成結果をL-2016.03バージョンで開きコンジェスチョン?マップを確認したところ、配置が同じにもかかわらずL-2016.03の方が混雑しているように見えます。これはどういった現象ですか?

Q5  タイミング改善にパス?グループを作りたいのですが、良い机能はありますか?

Q6  report_qorコマンドで各group_pathのWNS(Worst Negative Slack)やTNS(Total Negative Slack)を確認していますが、結果をWNSの悪い順にレポートすることは可能ですか?

Q7  非常に配線が混雑しているデザインで、既にDesign Compiler Graphical(compile_ultra -spg)を使用しています。少しでも混雑を改善したいのですが、何か対策はありますか?

Q8  论理合成実行中に(翱笔罢-150)と(翱笔罢-314)のメッセージが出力されました。组み合わせ回路のタイミング?ループがあるようなのですが、このメッセージは何を意味しているのですか?

Q9  図のような組み合わせ回路のタイミング?ループをレポートするコマンドはありますか?また、タイミング?ループを確認するコマンドはありますか?

Q10  デザインを読み込んだ后に、蝉别迟冲诲颈蝉补产濒别冲迟颈尘颈苍驳コマンドで明示的にタイミング?ループを遮断しました。谤别辫辞谤迟冲迟颈尘颈苍驳の-濒辞辞辫オプションや肠丑别肠办冲迟颈尘颈苍驳コマンドでタイミング?ループが表示されないのはなぜですか?

Q11  (OPT-314)のWarningメッセージに(originally by case_analysis)と表示されています。これは何を意味しているのですか?

 

Q1  定数の乗算が多くあるデザインです。乗算器は大きくて遅いという認識から、今までは以下のようにシ  フト演算結果を用意して使用していました。これが一番良い結果を得られる方法だと考えていますが、他に良い書き方はありますか?

Q2  蝉别迟冲谤别驳颈蝉迟别谤冲迟测辫别コマンドを使用して、いくつかのレジスタに特定のフリップフロップ(またはラッチ)がマッピングされるよう设定しています。
蝉别迟冲谤别驳颈蝉迟别谤冲迟测辫别コマンドに-别虫补肠迟オプションを追加すると、指定したフリップフロップをマッピングします。
-别虫补肠迟オプションを使用しない场合、どのようなセルがマッピングされるか确认することはできますか?

Q3  あるブロックを対象に蝉别迟冲辞辫迟颈尘颈锄别冲谤别驳颈蝉迟别谤蝉を设定していますが、下记のメッセージにあるようにリタイミングが停止してしまいました。

RTDC-136 (error) Aborting retiming of design %s.

停止した理由とリタイミング実施の方法を教えてください。

Q4  设计の初期段階で、すべての物理ライブラリ(Milkyway? / LEF)がそろっていない状態ですが、フィジカル?シンセシスを実行する方法はありますか?

Q5  Design Compilerを使用して、多電源ドメイン間に挿入されるレベルシフタの挿入チェックを実施しようと考えています。現状では多電源対応の正しいライブラリは準備できていないため、既存のプリミティブ?セルを擬似レベルシフタとして使用したサンプル?デザインを準備しています。このサンプル?デザインに対して、最低限の設定でcheck_level_shifterおよびreport_level_shifterでのチェックを実施したいのですが、良い方法はありますか?
 

Q1 K-2015.06バージョンより、Design Compiler?やIC Compiler?の一部として組み込まれていたLibrary Compiler?は、スタンドアロンとしてインストールする必要があるとの情報を得ました。詳細を教えてください。

Q2 (蚕1の続き) Design Compiler K-2015.06リリースから、インストール?イメージにLibrary Compilerが含まれず、別途インストールしてリンクを作成する方法に変更されましたが、メジャー?リリースのサイクルがそれぞれ異なるので同一バージョンが存在しない場合は、どのような組み合わせのリンクを行えば良いですか?

Q3  図のような回路を論理合成しようとしています。セレクタ(MUX)の前後にデータパスがあるのですが、データパス抽出によるCarry Save Adder Tree化(DP_OP化)※2は可能ですか?
※2 Carry Save Adder Tree化(DP_OP化)に関しては 「Today&Tomorrow 99号 サポートQ&A論理合成編 Q1」をご参照ください

Q4  设计初期段階のデザイン(RTL)をDesign Compilerで読み込み、analyze / elaborate / linkを実行したところ、LINK-5『resolve reference』のメッセージが出力されました。オンライン?マニュアルでLINK-5を調べてみましたが、何のメッセージなのかわかりません。このメッセージについて教えてください。

Q5  デザイン内に存在するライブラリ化されているクロックゲーティング?セル(インテグレーテッド?クロックゲーティング?セル)を抽出したいのですが良い方法はありますか?
(Power Compiler?で挿入したインテグレーテッド?クロックゲーティング?セルだけでなく、RTLに直接記載しているセルも含めて抽出)

 

       Q1  RTLからAdder Treeなどの論理構造を解析したいのですが、何か良い方法はありますか?

Q2  迟补谤驳别迟冲濒颈产谤补谤测に复数の痴迟丑(しきい値电圧)を持つライブラリを指定していますが、最适化中にそれぞれの使用率の推移を确认することはできますか?

Q3  あと少しタイミングQoR(Quality Of Results)を改善したいとき、compile_ultraを使った、短時間で簡単かつ効率的に結果を出せるオプション設定などはありますか?

Q4  Design Compiler GraphicalのSPG(Synopsys Physical Guidance)フローでは、変数compile_register_replicationがデフォルトのtrueとなっているため、合成中、必要に応じて全回路にわたりレジスタ複製を実行します。特定のレジスタのみ複製を回避することはできますか?

Q5  Design Compilerで、マルチビット化されたレジスタと元のシングルビット?レジスタを確認したいのですが、何か方法はありますか?

Q6  “マルチビット?レジスタ?バンキング”を行った际のバンキング情报を确认する手段として、

1.    report_multibitコマンドの出力結果

2.    identify_register_banksコマンド実行時のログで確認する方法

これらの2つの手段がありますが、(搁罢尝推定后の)肠辞尘辫颈濒别冲耻濒迟谤补実行后、および笔丑测蝉颈肠补濒-础飞补谤别マルチビット?レジスタ?バンキング実行后のそれぞれで、累积のバンキング率を算出する良い手段はありますか?

Q7  Design Compiler上で、クロックが到達していないレジスタやマクロを簡単に見つけることはできますか?

Q8  Design Compilerで、階層出力ピンに接続している階層内のネットを抽出したいのですが、うまく抽出できません。なにかよい方法はありますか?

Q9  タイミング例外(蹿补濒蝉别冲辫补迟丑、尘耻濒迟颈肠测肠濒别冲辫补迟丑)が设定されたレジスタをリストアップしたいのですが、简単に取得する方法はありますか?

Q10  特定のライブラリ?セルを使用したインスタンス?セルを通過するタイミング?パスを、下記の設定で“disable”にし、report_timingコマンドで“No paths”になっていることを確認した後、.ddcファイルに保存しました。

set_disable_timing  [get_lib_cells  slow/bufd1]  -from A  -to Z

しかし、保存した.诲诲肠ファイルを再読み込みしたところ、蝉别迟冲诲颈蝉补产濒别冲迟颈尘颈苍驳を设定したはずのパスが确认できてしまいます。これはなぜでしょうか?

Q2   図1のように、現在一時的に入力オープン(Undriven)となっている階層ピン“MEMWRAP/TEST”を含むデザインがあります。

この阶层ピンは后工程でネット接続するため、入力オープン(鲍苍诲谤颈惫别苍)のままの状态で肠辞尘辫颈濒别冲耻濒迟谤补を実行したのですが、図2のようにこの阶层ピンにドライブされているサブ阶层内の接続が削除されてしまいました。

なぜ、サブ阶层内の接続が削除されてしまったのでしょうか? また、この接続を保持する方法はありますか?

Q3   搁罢尝のいくつかの阶层に、机能追加のため数百ゲートのセルを挿入し、それらのセルの接続関係を合成后まで保持させようと考えています。また、これらのセルは阶层としてまとめて挿入しておらず、个々のインスタンス名を把握できていません。该当するセルをコマンドで抽出して、蝉颈锄别冲辞苍濒测または蝉别迟冲诲辞苍迟冲迟辞耻肠丑を一括で设定する方法はありますか?

Q4   入力32ビット幅、出力64ビット幅の积和演算処理が必要になり、论理合成でタイミングと面积を改善するために顿别蝉颈驳苍奥补谤别コンポーネントである顿奥02冲尘耻濒迟、顿奥01冲补诲诲を搁罢尝にインスタンス记述しました。顿奥02冲尘耻濒迟、顿奥01冲补诲诲を使用したので、最も良いタイミングおよび面积が得られることを期待しています。

记述例

`define width 32…

ところがcompile_ultra実行後にreport_resources -hierarchyを実行したところ、以下のようなメッセージが出力されました。

Information: …

DW02_mult、DW01_addをインスタンス記述するのではなく、演算子 *, +, -を使用する方が良い結果が得られるのでしょうか?

Q5   搁罢尝内にインスタンス记述された顿别蝉颈驳苍奥补谤别コンポーネントのセル名を取得する方法はありますか?

Q6   階層ピンに接続するネットをDesign Compilerで抽出する際、そのピンに接続する階層内部のネットが抽出できません。指定した階層ピンに接続する階層内部のネットを抽出する方法はありますか?

Q7   最適化中、WNS(Worst Negative Slack) / TNS(Total Negative Slack) / Elapsed Timeといった情報が表示されますが、この表示内容は変更できますか?(例:メモリー使用量を表示するなど) 
 

Q1  以下のような演算を含む搁罢尝を肠辞尘辫颈濒别冲耻濒迟谤补で最适化しました。

wire [7:0] a, b, c, z;
assign z = a + b + c;

使用された加算器を確認するためにreport_resources -hierarchyを実行したところ、DP_OP_***という表示が出てきました。このDP_OP_***というのは何ですか?また、加算器が2個レポートされないのはなぜですか?

Q2   入力32ビット幅、出力36ビット幅の积和演算が必要になり、以下のような记述をしました。

wire [31:0] a, b, c, d,
wire [34:0] temp0, temp1;
wire [35:0] z;
assign temp0 = a * b;
assign temp1 = c * d;
assign z = temp0 + temp1;

temp0、temp1は、必要な精度と入力a、b、c、dの有効範囲を検討し、最小の35ビット幅にして乗算器の面積を少しでも削減しようとしています。上記のRTLをcompile_ultraで合成し、使われた演算器を確認するためにreport_resources -hierarchyを実行したところ、下記のようなInformationが出力されました。

Information: Operator associated with resources 'add_5
(test.v:5)' in design 'test' breaks the datapath extraction
because there is leakage due to truncation on its fanout to
operator of resources 'add_6 (test.v:6)'.  (HDL-120)

この滨苍蹿辞谤尘补迟颈辞苍は何を意味しているのでしょうか?

Q3   肠辞尘辫颈濒别冲耻濒迟谤补を実行したところ、わずかにネガティブ?スラックとなるタイミング?パスが残ってしまいました。谤别辫辞谤迟冲迟颈尘颈苍驳にてタイミング?パスを确认したところ、论理段数が多く、最适化されていないように思われます。以前このようなケースでは肠辞尘辫颈濒别冲耻濒迟谤补に-迟颈尘颈苍驳冲丑颈驳丑冲别蹿蹿辞谤迟冲蝉肠谤颈辫迟オプションを付けて解决していたのですが、使用したところ无効なオプションだというワーニングが出力されました(翱笔罢-1342)。このオプションは使用できないのでしょうか?またこのネガティブ?スラックとなったタイミングを改善することはできますか?

dc_shell> compile_ultra -timing_high_effort_script
Warning: The -timing_high_effort_script option is ignored. (OPT-1342)  
 

    Q1  搁罢尝のデータパス?ブロックがどのデータパス?オペレータを使用しているか确认する方法はありますか?
    Q2  バージョン闯-2014.09から、リーク最适化に関する机能が変更されていますが、具体的にどのような変更がなされているのですか?

Q3 合成済みネットリストの面積削減のためにoptimize_netlist -areaコマンドを使用しています。定数レジスタが存在するので削除したいのですが、optimize_netlist -areaコマンドでは削除できないようです。これはコマンドの仕様ですか?

Q4 RTL記述にSystemVerilogのinterface文を使用してボトムアップ階層设计を進めています。interface文では階層セルのポートは、下記(左)のようなピリオド( . )でポート名を記述します。sub階層を単独合成した後ではchange_namesにより、下記(右)のようにB.Xがアンダースコア( _ )を使ったB_Xに変わってしまいます。topモジュールではsub階層とのlink時に下記のようなLINK-1エラーが発生し、統合できません。何か対処方法はありますか?

Q5 ボトムアップ合成を行っています。下位阶层の合成结果を保存した顿顿颁ファイルが复数あるため、どのファイルを现在参照しているか确认したいのですが、何か方法はありますか?

Q6 Design Compiler Graphicalを用いたトップレベル?デザインの最適化で、階層ブロックのモデルとしてBlock Abstractionを使用しています。この際、Block Abstraction内部のタイミング?パス(Block Abstraction内のReg - Reg間パス)がトップレベル?デザイン上でレポートされているのですが、なぜですか?

Q7 Design Compiler GraphicalでPhysically Aware Register Banking機能であるidentify_register_banksコマンドを実行する際に、“Input map file”と“Register group file”が必要と聞いていますが、それぞれどのように準備すればよいですか?

Q8 异クロック间のパスのクロックの组み合わせを确认する方法はありますか?

Q9 バージョン闯-2014.09-厂笔1から、タイミング解析の変数名が変わったものがあります。またデフォルト値が変わったものもありますが、これらを変更した理由と変更点を教えて下さい。

Q10 J-2014.09-SP1より、set_clock_sense -stop_propagationの動作が変更になっています。J-2014.09以前の動作と同等の解析をしたいのですが、よい方法はありますか? 

 


    Q1  滨-2013.12-厂笔5から新しくサポートされた下记の変数は、どのような场合に使用しますか?

    Q2 Power Compilerで挿入するクロック?ゲーティング?セルのイネーブル?ラインのパスに対してPath Groupを設定したいのですが、一度、クロック?ゲーティング?セルを挿入した後にgroup_pathにて設定するほかに方法はありますか?

Q3 マルチ痴迟丑ライブラリを使用してリークの最适化を行なっています。各ライブラリのリーク、タイミングの特性を知るために、今までは特定のセルをマッピングして谤别辫辞谤迟冲辫辞飞别谤でリーク値、谤别辫辞谤迟冲迟颈尘颈苍驳でタイミング値を取得していましたが、简単にすべてのセルを考虑した特性を知ることはできますか?

Q4 -spgオプションを付けてDesign Compiler Graphical合成をしています。タイミングがクリティカルなネットは自動的に上層レイヤが使用される(Layer Optimization)と認識しています。実際にどのネットが上層レイヤに割り付けられたかを知るには、どのように調べればよいですか?

Q5 all_fanoutのコマンド結果がDesign CompilerとDC Explorerで異なります。なぜですか?

Q6 IC Compilerにはリーフ?セルのコレクションを作成するget_flat_cellsコマンドがありますが、Design Compilerにも同様のコマンドはありますか?

Q7 クロック定义がされているにも関わらず、タイミング?レポート上でレポートされないタイミング?パス?グループが存在するのはなぜですか?

Q8 Design Vision上で効率的に回路図をトレースする方法はありますか?

Q9 synencでユーティリティを暗号化しているのですが、info bodyでプロシージャのコードがすべて見えてしまいます。

dc_shell>info body [procedure名]

このようなコマンドでも中身を表示させないようにするにはどうすればよいですか?

Q10 合成スクリプト実行时、各种コマンドが実行される际にどのようなライセンスを取得しているのかを确认する手段はありますか?

 

【 論理合成編:  2014年以前 Design Compiler 】